搭建verilog/systemverilog学习环境
  MK6CAjfbcKQ2 2024年08月06日 35 0

仿真软件选择

  • 学习verilog或者systemverilog过程中,使用那种仿真软件?当然最好是使用synopsys的vcs+verdi的组合,功能强大,而且大部分公司也使用synopsys的eda软件,如果熟练掌握vcs+verdi对以后工作中使用它们也是有很大帮助。但是这两个软件安装比较复杂,需要授权,网上的很多教程我也尝试了,但都没有成功,最终无奈放弃。
  • 也可以使用modelsim进行仿真,modelsim的安装可以参考 modelsim 2020.4SE安装教程超详细,另外还可以使用vscode+modelsim的组合来进行写代码和运行仿真,vscode+modelsim组合的设置可以参考Vscode + modelsim 环境搭建
  • 使用iverilog+vscode组合,我就是使用这个组合。对于初学者来说,这个是完全足够的,而且vscode中,各种插件,对写代码很有帮助,编译运行也快,唯一不足的是iverilog对systemverilog的支持不够完整,有的语法是不支持的。安装iverilog+vscode可以参考这个链接。一款轻量级verilog HDL开发方案

使用iverilog的基本步骤

  • iverilog的基本使用可以上github查看iverilog教程
  • 我常用就下面三条命令, 第一条命令编译verilog/systemverilog文件,
    • -o 是编译后输出的文件名字, -g 2012 表示支持systemverilog语法, -s 指定root module名字, 这儿code4_18.v是要编译verilog/systemverilog 文件名字。
  • 第二条命令运行编译后的文件
  • 第三条命令用gtkwave打开波形文件,我们也可以安装WaveTrace插件后,在vscode中直接打开vcd文件,但是该插件最多只添加8个信号,再多的话,就要花钱买授权。
iverilog -o myrun -g 2012 -s TestMem code4_18.v
vvp myrun
gtkwave testmem.vcd
【版权声明】本文内容来自摩杜云社区用户原创、第三方投稿、转载,内容版权归原作者所有。本网站的目的在于传递更多信息,不拥有版权,亦不承担相应法律责任。如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@moduyun.com

  1. 分享:
最后一次编辑于 2024年08月06日 0

暂无评论

推荐阅读
  MK6CAjfbcKQ2   2024年08月06日   63   0   0 Verilog
  bAXSCMfr6G6t   2024年05月08日   119   0   0 Verilog
  9OzrUXcElOdo   2024年08月06日   46   0   0 Verilog
  UXm2NDaWG8OP   2024年03月28日   99   0   0 Verilog
  iREOoE1g43HF   2024年08月07日   29   0   0 Verilog
MK6CAjfbcKQ2