Verilog对数据进行四舍五入(round)与饱和(saturation)截位 https://www.cnblogs.com/liujinggang/p/10549095.html 一、软件平台与硬件平台 软件平台:       操作系统:Windows8.164-bit       开发套件:Vivado2015.4.2 Matlab2016a       仿真工具:Vivado自带仿真器 二、引言 ...

  HBzdli1Gc6A3   2024年08月06日   44   0   0 Verilog
关注 更多

空空如也 ~ ~

粉丝 更多

空空如也 ~ ~