Xilinx FPGA平台DDR3设计保姆式教程(5)DDR3仿真篇
  5ZvUhp10xK64 2023年11月02日 62 0


实验目的:


了解ddr的仿真模型建立。



一、Example Design

每当我们例化了一个IP而不知道怎么使用时,优先打开官方示例example design


选中IP核,右键“OPEN IP in Example Design”,我这里是灰色的,那是因为我已经打开过了。

Xilinx FPGA平台DDR3设计保姆式教程(5)DDR3仿真篇_右键




就不讲了,主要有个数据产生模块,反正我们也用不上,我们只需要用里面的仿真模型。


不打开example design也行,直接打开example的仿真文件sim_tb_top:


代码太多了太长了我们直接往下翻到1011行:Memory Models instantiations


将ddr3_model例化部分复制到我们的tb文件里,参数找到对应的数字给替换掉:

Xilinx FPGA平台DDR3设计保姆式教程(5)DDR3仿真篇_右键_02




二、添加仿真文件

两个仿真文件添加到工程:


目录 :


文件1 : ddr3_model.sv


文件2 : ddr3_model_parameters.vh


然后添加为我们的工程仿真文件。


Xilinx FPGA平台DDR3设计保姆式教程(5)DDR3仿真篇_IP_03



三、Testbench的编写

添加激励,例化我们的设计ddr_test模块,例化第一节所说的ddr_model。




OK,干净清爽!


开始仿真!


DDR仿真建议用modelsim哦,因为速度快!


想要知道怎么用脚本进行仿真?安排!



【版权声明】本文内容来自摩杜云社区用户原创、第三方投稿、转载,内容版权归原作者所有。本网站的目的在于传递更多信息,不拥有版权,亦不承担相应法律责任。如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@moduyun.com

  1. 分享:
最后一次编辑于 2023年11月08日 0

暂无评论

推荐阅读
5ZvUhp10xK64