FPGA 设计一个以1秒频率闪烁的LED灯
  1YQZUCUR1XeB 2023年11月02日 31 0

FPGA 设计一个以1秒频率闪烁的LED灯_sed

以1秒频率闪烁的LED灯的代码内容如下:

//设计一个以1秒频率闪烁的LED灯(亮灭各500ms)
 
module led_flash(
    Reset_n,//复位端口,加_n是使用低电平复位
    Clk,//时钟端口
    Led,
);
    input Clk;
    input Reset_n;
    output reg Led;
    
    reg [24:0]counter;
    
    always@(posedge Clk or negedge Reset_n) //posedge Clk 为时序描述的标准格式
        // <=是非阻塞赋值的意思
        if(!Reset_n)
            counter <= 0;
        else if(counter == 250000000-1)
            counter<=0;
        else
            counter <= counter+1'd1;        
    always@(posedge Clk or negedge Reset_n)
    if(!Reset_n)
        Led <= 0;
    else if(counter == 25000000)
        Led <=!Led;
        //    always@(posedge Clk or negedge Reset_n) //posedge Clk 为时序描述的标准格式
        //    // <=是非阻塞赋值的意思
        //    if(!Reset_n)begin
        //        counter <= 0;
        //        Led <=0;
        //    end
        //    else if(counter == 25000000-1)begin
        //        Led <=!Led;
        //        counter<=0;
        //    end
        //    else
        //        counter <= counter+1'd1;
endmodule

仿真测试的代码

`timescale 1ns/1ns
 
module led_flash_tb();
 
    reg Clk;
    reg Reset_n;
    wire Led;
    
    led_flash led_flash(
        .Reset_n(Reset_n),//复位端口,加_n是使用低电平复位
        .Clk(Clk),//时钟端口
        .Led(Led)
    );
    //时钟信号
    initial Clk =1;
    always #10 Clk=!Clk;
    
    initial begin
        Reset_n=0;
        #201;
        Reset_n=1;
        #2000000000;
        $stop;
    end
    
endmodule
【版权声明】本文内容来自摩杜云社区用户原创、第三方投稿、转载,内容版权归原作者所有。本网站的目的在于传递更多信息,不拥有版权,亦不承担相应法律责任。如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@moduyun.com

  1. 分享:
最后一次编辑于 2023年11月08日 0

暂无评论

推荐阅读
  zLxnEsMLk4BL   2023年11月19日   32   0   0 赋值运算符字符串
  lh6O4DgR0ZQ8   2023年11月22日   26   0   0 Memory字段sed
  5SL1O36RFEWc   2023年11月12日   29   0   0 多路非阻塞数据
  zLxnEsMLk4BL   2023年11月19日   32   0   0 赋值字符串bc
  87GpfEheVoVF   2023年11月13日   25   0   0 htmlsed
  zLxnEsMLk4BL   2023年11月19日   28   0   0 升序数据sed
1YQZUCUR1XeB