FPGA 二选一多路器
  1YQZUCUR1XeB 2023年11月02日 22 0

1.打开Vivado软件,点击Create Project,再点击next

2.给程序命名,由于本文是制作二选一多路器,故可命名为mux2_1

3.点击选择RTL project,并勾选Do not specify sources at this time

FPGA 二选一多路器_Verilog

5.在进入下一个页面后,找到小梅哥开发板对应芯片型号:XC7A35TFGG484,选择XC7A35TFGG484-2后点击next。

FPGA 二选一多路器_项目创建_02

6.点击finish即可完成项目创建。

7.点击Add Sources,选择Add or create design sources,再点击next按钮。

FPGA 二选一多路器_多路_03

8.选择create files,并为文件命名,再点击finish即可。

FPGA 二选一多路器_项目创建_04

9. 在随后弹出的窗口点击OK再点击yes。

10.等待加载后,双击mux2_1,右侧就会弹出编写Verilog代码的界面。

FPGA 二选一多路器_Verilog_05

11. 在右侧代码页面输入二选一多路器Verilog代码,代码内容如下

module mux2_1(
    a,
    b,
    sel,
    out
);
    input a;
    input b;
    input sel;
    output out;
 
    assign out =(sel==1)?a:b;//二选一多路器实现代码
endmodule 

ctrl+s保存代码后,在键盘上按下F11即可运行程序,点击OK就可以了

【版权声明】本文内容来自摩杜云社区用户原创、第三方投稿、转载,内容版权归原作者所有。本网站的目的在于传递更多信息,不拥有版权,亦不承担相应法律责任。如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@moduyun.com

  1. 分享:
最后一次编辑于 2023年11月08日 0

暂无评论

1YQZUCUR1XeB