UVM:8.1.2 约束的重载
  VDP7COGanTeB 2023年11月02日 44 0


1.测试时,有多种异常错误,在transaction中加入标志位:

UVM:8.1.2 约束的重载_异常错误

1)大多数情况下,都应该为0。

2)每次都约束很麻烦:

UVM:8.1.2 约束的重载_空指针_02

2.出现概率非常低,也可以dist:

UVM:8.1.2 约束的重载_空指针_03

但何时取1,很难控制。

1)正常可以如下随机:

UVM:8.1.2 约束的重载_空指针_04

2)异常时,如下:

UVM:8.1.2 约束的重载_空指针_05

前提是m_trans 已经例化,否则用uvm_do:

UVM:8.1.2 约束的重载_异常错误_06

这样回报空指针错误。(???)


3.上述只是单独关闭了某一个约束,如下关闭所有约束:

UVM:8.1.2 约束的重载_空指针_07

这种情况下,要分别对crc_err,pre_err,sdf_err 进行约束。


4.systemverilog 支持约束的重载。依然可以使用第一种方式的my_transaction 的定义,在其基础上派生新的transaction:

UVM:8.1.2 约束的重载_异常错误_08

【版权声明】本文内容来自摩杜云社区用户原创、第三方投稿、转载,内容版权归原作者所有。本网站的目的在于传递更多信息,不拥有版权,亦不承担相应法律责任。如果您发现本社区中有涉嫌抄袭的内容,欢迎发送邮件进行举报,并提供相关证据,一经查实,本社区将立刻删除涉嫌侵权内容,举报邮箱: cloudbbs@moduyun.com

  1. 分享:
最后一次编辑于 2023年11月08日 0

暂无评论

推荐阅读
VDP7COGanTeB